Verilator: Fast, Free Verilog HDL Simulator

https://www.veripool.org/wiki/verilator

Ebay Products

Summary

Verilator is the fastest free Verilog HDL simulator, and outperforms most commercial simulators. Verilator compiles synthesizable SystemVerilog (generally not test-bench code), plus some SystemVerilog and Synthesis assertions into single- or multithreaded C++ or SystemC code. Verilator is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to generate executable models of CPUs for embedded software design teams.

Verilator may not be the best choice if you are expecting a full featured replacement for NC-Verilog, VCS or another commercial Verilog simulator, or a Verilog compiler for a small class project (we recommend Icarus Verilog instead.) However, if you are looking for a path to migrate synthesizable Verilog to C++ or SystemC, and your team is comfortable writing just a touch of C++ code, this is the free Verilog compiler for you.

Verilator is being used to simulate many very large multi-million gate designs with thousands of modules, and is supported by many IP vendors out-of-the box, including IP from Arm and all known RISC-V IP vendors.

Performance

Verilator does not simply convert Verilog HDL to C++ or SystemC. Direct translation alone is fairly easy (and was what Verilator abandoned over 10 years ago). Rather than translate, Verilator compiles your code into a much faster optimized and thread-partitioned model, which is in turn wrapped inside a C++/SystemC module. The results are a compiled Verilog model that executes even on a single-thread over 10x faster than standalone SystemC.

Verilator on a single thread is about 100 times faster than interpreted Verilog simulators such as Icarus Verilog, and another 2-10x can be gained from multithreading (200-1000x total). Verilator has about the same performance as the leading commercial Verilog simulators including Carbon Design Systems Carbonator, Modelsim, Cadence NC-Verilog, Synopsys VCS, VTOC, and Pragmatic CVer/CVC. But, Verilator is free, so you can spend on computes rather than licenses. Thus Verilator gives you more cycles/dollar than anything else available.

If you benchmark Verilator, please see the notes in the Verilator Manual and also if possible post on the forums the results; there may be additional tweaks possible.

Here’s how Verilator in single-threaded mode stacks up to some of the other commercial and free Verilog simulators: Verilog Simulator Benchmarks

See Also

Other Notable Open-Source Simulators

There are three other notable open source simulators. Hopefully if Verilator does not suit your needs one of the others may.

  • Icarus Verilog – Icarus is a full featured interpreted Verilog 1995 simulator, with VHDL under development. Recommended.
  • Verilog2Cpp – Compiled simulator no longer supported (to our knowledge)
  • VBS – Verilog Behavioral Simulator no longer supported (to our knowledge)

Next Post

The truth about hypercasual games

Tue Apr 2 , 2019
https://venturebeat.com/2019/03/24/the-truth-about-hypercasual-games/

You May Like